Architecting and Building High-Speed SoCs

Architecting and Building High-Speed SoCs

eBook Details:

  • Paperback: 426 pages
  • Publisher: WOW! eBook (December 9, 2022)
  • Language: English
  • ISBN-10: 1801810990
  • ISBN-13: 978-1801810999

eBook Description:

Architecting and Building High-Speed SoCs: Design a high-speed SoC while gaining a holistic view of the FPGA design flow and overcoming its challenges

Modern and complex SoCs can adapt to many demanding system requirements by combining the processing power of ARM processors and the feature-rich Xilinx FPGAs. You’ll need to understand many protocols, use a variety of internal and external interfaces, pinpoint the bottlenecks, and define the architecture of an SoC in an FPGA to produce a superior solution in a timely and cost-efficient manner.

This book adopts a practical approach to helping you master both the hardware and software design flows, understand key interconnects and interfaces, analyze the system performance and enhance it using the acceleration techniques, and finally build an RTOS-based software application for an advanced SoC design.

You’ll start with an introduction to the FPGA SoCs technology fundamentals and their associated development design tools. Gradually, the book will guide you through building the SoC hardware and software, starting from the architecture definition to testing on a demo board or a virtual platform. The level of complexity evolves as the book progresses and covers advanced applications such as communications, security, and coherent hardware acceleration.

  • Understand SoC FPGAs’ main features, advanced buses and interface protocols
  • Develop and verify an SoC hardware platform targeting an FPGA-based SoC
  • Explore and use the main tools for building the SoC hardware and software
  • Build advanced SoCs using hardware acceleration with custom IPs
  • Implement an OS-based software application targeting an FPGA-based SoC
  • Understand the hardware and software integration techniques for SoC FPGAs
  • Use tools to co-debug the SoC software and hardware
  • Gain insights into communication and DSP principles in FPGA-based SoCs

By the end of this Architecting and Building High-Speed SoCs book, you’ll have learned the concepts underlying FPGA SoCs’ advanced features and you’ll have constructed a high-speed SoC targeting a high-end FPGA from the ground up.

Auto Labor-Saving Jack Ratchet Wrench

DOWNLOAD

Leave a Reply

Your email address will not be published. Required fields are marked *